当前位置: 代码迷 >> 综合 >> 【SystemVerilog基础】Verilog 和 SystemVerilog 中的基本数据类型深入探讨
  详细解决方案

【SystemVerilog基础】Verilog 和 SystemVerilog 中的基本数据类型深入探讨

热度:73   发布时间:2023-12-13 01:12:55.0

文章目录

  • 1、Verilog的数据类型
  • 2、SystemVerilog的数据类型

1、Verilog的数据类型

Verilog语言提供两组基本的数据类型:变量数据类型(variable)和线网数据类型(net),这两种类型都是四值逻辑。具体请参考《IEEE Standard for Verilog》Chapter 4 Data types。

  • 线网(net)的声明进行简化,即:net_type + 声明列表,其中net_type包含我们常用的如wire | tri | wand | wor等;
  • 变量(variable)的声明进行简化,即:variable_type + 声明列表,其中variable_type包含我们常用的如reg | integer | time | real | realtime等;

可以看到,在Verilog中,线网及变量的声明都很简单,都只有两部分

  相关解决方案