当前位置: 代码迷 >> 综合 >> 【SystemVerilog基础】SystemVerilog Assertion 概述
  详细解决方案

【SystemVerilog基础】SystemVerilog Assertion 概述

热度:42   发布时间:2023-12-13 00:57:43.0

Assertion 断言作用:

  • 检查特定条件或者事件序列的发生
  • 提供功能覆盖

主要存在两种断言:

  • 立即断言
    • 当前仿真时间检查条件,类似于if…else语句,立即断言带有控制,且必须放在过程块(initial、always)定义中
  • 并发断言
    • 连续运行的模块,在整个仿真过程检查信号,所以需要在并发断言内指定一个采样的时钟。并发断言只在时钟沿才会执行;可以在过程块、module、interface和program块内定义并发断言。

文章目录

  • 一、断言基础内容
    • 1.1、断言简介(Assertion)
    • 1.2、SystemVerilog中的断言在验证方法中承担的角色
    • 1.3、Assertion的两种类型:立即断言和并发断言
    • 1.4、property和sequence的含义和用途
  • 二、断言进阶内容:sequen
  相关解决方案