当前位置: 代码迷 >> 综合 >> 【SystemVerilog基础】SystemVerilog Assertion 快速上手指南(下)bind 关键字的用法,实现与DUT的连接
  详细解决方案

【SystemVerilog基础】SystemVerilog Assertion 快速上手指南(下)bind 关键字的用法,实现与DUT的连接

热度:54   发布时间:2023-12-13 00:49:07.0

文章目录

  • 一、SVA 定义在模块中
  • 二、SVA检验器与设计的bind绑定
    • 2.2、通过模块例化名实现绑定
  • 三、典型DFF与MUX的断言

SVA检验器与设计(DUT)的连接方式主要有两种方式:

  • 1、直接将SVA检验器定义在模块(module)中
  • 2、将SVA检验器与模块模块的实例或者一个模块的多个实例进行bind绑定;

一、SVA 定义在模块中

示例:

module inline(clk, a, b, d1, d2);input  logic         clk<
  相关解决方案