当前位置: 代码迷 >> 综合 >> modelsim 居然 error loading design?
  详细解决方案

modelsim 居然 error loading design?

热度:24   发布时间:2023-12-01 10:18:37.0

经过一个学期的eda课程的学习,我终于把modelsim卸载了五次。


相信优不少的同学在使用modelsim时有遇到过这样的问题,明明每一个设计文件都编译通过,但是还是出现这样一句话:

error loading design。

然后。。。。。就没有然后了,没有任何提示。


这时候,你可能需要做以下的事:

1.检查文件是否未被包含且未加入工程。

2.检查设计文件的端口声明与实例化时的端口是否一致。

3.检查设计文件的模块名是否与实例化时的模块名一致。

4.检查未在顶层文件中修改的端口是否为wire(被这个坑了两周)。

5.去请教老师或大佬吧。



处女贴,请多多包涵。

皇叔于2018.6.19 17:06 

  相关解决方案