当前位置: 代码迷 >> 综合 >> Quartus Error (10028): Can‘t resolve multiple constant drivers for net XX
  详细解决方案

Quartus Error (10028): Can‘t resolve multiple constant drivers for net XX

热度:58   发布时间:2023-11-27 09:45:26.0

问题描述:

在编写Verilog的时候出现了如上的问题

原因分析:

英文翻译过来就是:

无法解析网络的多个常量驱动程序

那么猜测应该是在多个always对同一个寄存器进行了赋值操作,导致信号冲突了,查看了代码后确实也发现了有这个问题

同时为了确定自己的想法没错,查找了论坛,大佬给出的解释如下,十分生动形象
在这里插入图片描述

解决方案:

把原来在多个always里的进行赋值的寄存器放到同一个always进行操作

  相关解决方案