当前位置: 代码迷 >> 嵌入开发 >> (vhdl,quartus) 产生symbol时出错Error(10017)解决思路
  详细解决方案

(vhdl,quartus) 产生symbol时出错Error(10017)解决思路

热度:5920   发布时间:2013-02-26 00:00:00.0
(vhdl,quartus) 产生symbol时出错Error(10017)
产生shift 的 smybol时有如下错误,请问是什么原因?

Error (10017): Can't create symbol for entity "shift" -- declaration for port "sel" cannot be of complex type

--cup_lib.vhd

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
PACKAGE cpu_lib IS
type t_shift is (shftpass,shtl,shtr,rotl,rotr);
subtype bit16 is std_logic_vector (15 downto 0);
end cpu_lib;

--shift.vhd

....

entity shift is
  port ( a : in bit16;
  sel: in t_shift;
  y : out bit16
  );
end shift;



------解决方案--------------------------------------------------------
输出端口不可以定义成混合型
------解决方案--------------------------------------------------------
看看(shftpass,shtl,shtr,rotl,rotr)是什么够成的
  相关解决方案