当前位置: 代码迷 >> std的解决方案
 
  • 急求FPGA设计的多功能波形发生器的目标芯片,该怎么处理

    急求FPGA设计的多功能波形发生器的目标芯片小女子做的课题,初次接触FPGA的设计用的是Quartus2的平台运行,综合编译已通过,但是时序仿真出了错:说是目标芯片不适用。求各位大侠能指点指点,给出合适波形发生器的芯片型号,先在此谢过下为VHDL开头部分程序:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;use...

    6653
    热度
  • 新手~modelsim仿真有关问题~拜托了

    新手求助~modelsim仿真问题~拜托了~我做仿真的时候,之前都是用quartusII9.0,波形都有,但换成10.0后要用modelsim仿真,可是听人说还需要一个什么testbench,小弟新手不知道该怎么弄这个,请大家帮忙看看该怎么编,代码如下:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;Ent...

    96
    热度
  • 从EVC移植到VS2005(PocketPC2003)上的链接异常

    从EVC移植到VS2005(PocketPC2003)上的链接错误这个程序在EVC4下编译没有问题,因为使用的是GDI,编译连接到ARM10上的wince没问题。但是相同的代码,在2005下建立PocketPC2003工程,编译没问题,连接却出现了些奇怪的问题,貌似不支持C++多态似的:libcpmtd.lib(string.obj):errorLNK2001:无法解析的外部符号"con...

    41
    热度
  • 使用jrtplib出现了一堆链接异常

    使用jrtplib出现了一堆链接错误我包含了jrtplib的头文件,然后定义了一个RTPSession类,之后出现了链接错误:Linking...msvcprtd.lib(MSVCP60D.dll):errorLNK2005:"public:charconst*__thiscallstd::basic_string<char,structstd::char_traits<ch...

    9061
    热度
  • 急 LNK2022错误怎么解决

    急!LNK2022错误如何解决?不熟悉.net,链接时遇到LNK2022错误,产生的部分错误信息如下,请高人们指点一下如何解决:.obj:errorLNK2022:(std.ostreambuf_iterator<char,std::char_traits<char>>):(0x02000097)。.obj:errorLNK2022:(std.ostreambuf_iter...

    7177
    热度
  • 大侠帮编译一上这个VHDL吧,要疯了

    大侠帮编译一下这个VHDL吧,要疯了libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;packagedp16isfunctionadd(a,b:instd_logic_vector)returnstd_logic_vector;variabletmp:s...

    39
    热度
  • 关于GetHandle函数解决方法

    关于GetHandle函数句柄是一个32位的数,用来标示对象的,像STD_INPUT_HANDLE。GetHandle是用来获取句柄的,但参数却是句柄,我觉得好矛盾。像INVOKEGetHandle,STD_INPUT_HANDLE这样一条语句,不就是为了得到标准输入的句柄嘛,然后存在eax中,但标准输入句柄不就是STD_INPUT_HANDLE吗?color='#e78608'>------解决...

    1292
    热度
  • 关于元件例化的有关问题

    关于元件例化的问题libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitymand2isport(a,b:instd_logic;c:outstd_logic);endmand2;architecturebbofmand2isbeginc<=aandb;endbb;usework.mand2.al...

    91
    热度
  • 三表联查,搞糊涂了

    【求助】三表联查,搞糊涂了如图,是数据库中的表及关系首先请问这样的关系有问题吗?SQLcodeSELECT[_std_item_code],[_std_item_name]FROM[_tbl_std_item][color=#FF0000]$#%&^*($%...[/color]WHERE[_user_category_name]=[color=#0000FF]strCategorynam...

    89
    热度
  • 怎么从重复数据中找出日期最后的一条?

    如何从重复数据中找出日期最后的一条???如何从重复数据中找出日期最后的一条???tbgc_id是唯一值,不会重复ass_segment1是物料号,可能会重复std_item_id是标准机号,会重复表一tbgc_idass_segment1std_item_idcreation_date56815NB5E0123190552012-8-814:43:1456816RB510193190552012-...

    43
    热度
  • Quartz-2D绘图之途径(Paths)详解

    Quartz-2D绘图之路径(Paths)详解在上篇文章中,我们简单的理解了绘图上下文,今天我们来认识一下Quartz-2D中另一个重要的概念,路径(Paths)。一、理解路径路径定stdl';">义stdl';">了一个或多个形状,或是子路径。一个子路径可由直stdl';">线stdl';">,曲stdl';">线stdl';">,或者同stdl';">时stdl';">由两者构成。它可以是开放...

    93
    热度
  • vhdl代码的执行顺序有关问题

    vhdl代码的执行顺序问题Assemblycodelibraryieee;useieee.std_logic_1164.all;usework.exp_cpu_components.all;entityregfileisport(DR:instd_logic_vector(1downto0);SR:instd_logic_vector(1downto0);reset:instd_logic;wri...

    24
    热度
  • 说明怎么在Mac上让clang++,qmake及QtCreator互动

    说明如何在Mac下让clang++,qmake及QtCreator互动////main.cpp//yyyy////Createdbyyyyyon2/6/13.//Copyright(c)2013yyyy.Allrightsreserved.//#include<functional>#include<iostream>#include<initializer_list...

    37
    热度
  • EVC4.0中怎么将字符串转换成int类型,并且将int型转化成字符串

    EVC4.0中如何将字符串转换成int类型,并且将int型转化成字符串?使用过atoi和Format了,可是有错误!!!CStringstr,std;intid;GetDlgItem(IDC_EDIT_INPUT)->GetWindowText(str);//编辑框id=atoi(str);std.Format("%d",v_IOPreg->GPFCON);GetDlgItem(IDC...

    169
    热度
  • 用VS2005执行以前VC6.0的程序出现这个异常。

    用VS2005执行以前VC6.0的程序出现这个错误。。。:\programfiles\microsoftvisualstudio8\vc\include\cstdlib(23):errorC2039:“exit”:不是“`globalnamespace'”的成员c:\programfiles\microsoftvisualstudio8\vc\include\cstdlib(23):err...

    4818
    热度
  • VHDL expecting an identifier,or"constant" or "file" or "signal" or "variable"该如何解决

    VHDLexpectinganidentifier,or"constant",or"file",or"signal",or"variable"Error(10500):VHDLsyntaxerroratcompare.vhd(11)neartext")";expectinganidentifier,...

    33
    热度
  • VHDL定义函数的有关问题

    VHDL定义函数的问题libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_UNSIGNED.ALL;entityMy_Allis port( my_and_a, my_and_b :in bit; my_and_y :out bit; my_adder_a, my_adder_b :in STD_LOGIC_VECTOR(2dow...

    70
    热度
  • 托管C++里,C#的string如何转成C++的string

    托管C++里,C#的string怎么转成C++的string托管C++里,C#的string怎么转成C++的string,直接赋值是不行的color='#FF8000'>------解决思路----------------------System::String^managed=".........";std::stringunmanaged=msclr::interop::marshal_as&...

    85
    热度
  • vhdl编程,该如何解决

    vhdl编程为啥我编的vhdl程序第一句就说我有语法问题,我写的第一句是libraryieee;这是为什么呢请高手指点color='#e78608'>------解决方案--------------------写错字了color='#e78608'>------解决方案--------------------lz贴出完整的出错代码,LIBRARYieee;color='#e78608'>-----...

    39
    热度
  • vhdl 有关问题仿真后Dout 没有输出!请

    vhdl问题仿真后Dout没有输出!!在线等,请高手指点libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityAD7714Controisport(POL,SYNC,RESET,BUF,STANDBY,CS,SCLK,DOUT:outstd_logic;CLK:instd_logic;--<10...

    40
    热度